Blob


1 .POSIX:
3 CLOCKS = src/clk1.v
4 SRC = ${CLOCKS} src/sdram.v src/cpu.v src/top.v
6 all: ulx3s.bit
8 clean:
9 rm -f ${CLOCKS} ulx3s.bit ulx3s_out.config design.json
11 flash: ulx3s.bit
12 fujprog ulx3s.bit
14 flash-rom: ulx3s.bit
15 fujprog -j FLASH ulx3s.bit
17 ulx3s.bit: ulx3s_out.config
18 ecppack ulx3s_out.config ulx3s.bit
20 ulx3s_out.config: design.json ulx3s_v20.lpf
21 nextpnr-ecp5 --quiet \
22 --top=top \
23 --85k \
24 --json design.json \
25 --package CABGA381 \
26 --lpf ulx3s_v20.lpf \
27 --textcfg ulx3s_out.config
29 design.json: ${SRC}
30 yosys -q -p 'synth_ecp5 -noccu2 -nomux -json design.json' ${SRC}
32 src/clk1.v:
33 ecppll -i 25 -o 40 -n Clock1 -f $@